資料介紹
I.彈跳消除模塊源程序如下:
--content definition of component "debounce"
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--io signal declaraction
entity debounce is
port(clk: in std_logic; --basic clock input
clr:in std_logic;
touch: in std_logic; --push button signal
push_out: out std_logic); --cleared push botton signal
- 完整源程序分享 29次下載
- OLED源程序免費下載 5次下載
- 簡易信號發(fā)生器的源程序免費下載 42次下載
- 使用單片機制作電池容量測試源程序免費下載 19次下載
- 為工控設(shè)備增加溫度和時鐘顯示的電路源程序免費下載 5次下載
- 單片機控制步進電機的源程序免費下載 38次下載
- 液晶顯示電冰箱溫控器的源程序免費下載 16次下載
- 使用單片機實現(xiàn)電子琴的源程序代碼免費下載
- 使用單片機設(shè)計倒車雷達的源程序及AD仿真圖免費下載
- 紅外線發(fā)射與接收源程序免費下載 62次下載
- 使用51單片機進行循跡智能小車的源程序免費下載
- FT4未來時鐘的正式版的源程序和電路原理圖免費下載 0次下載
- 直流電動機控制模塊整體電路(控制直流電機正反轉(zhuǎn)源程序 仿真圖)資料下載 99次下載
- java 程序編程指導(dǎo)源程序 8次下載
- 128x64液晶顯示模塊源程序
- 交流接觸器反復(fù)彈跳怎么解決 交流接觸器是干什么用的 7730次閱讀
- 無彈跳開關(guān)電路原理圖講解 949次閱讀
- e2 studio環(huán)境下添加源程序到當前工程的注意事項 819次閱讀
- I2C總線驅(qū)動的C語言源程序詳細說明 5262次閱讀
- 單片機下載程序的三種方式介紹 2.3w次閱讀
- 連接器是怎么讀懂C源程序的 1531次閱讀
- PLC程序加密解密方法詳解 1.7w次閱讀
- 四款炫酷Linux開源程序照亮你的生活! 5469次閱讀
- LDC1000在STM32的程序 LDC1000的STM32驅(qū)動源程序 4229次閱讀
- 315m無線模塊怎么接收程序?程序是什么? 7857次閱讀
- 淺談 Linux 程序 Orbital Apps 開源程序集合 908次閱讀
- cc2541中文數(shù)據(jù)手冊及cc2541藍牙源程序 2.7w次閱讀
- vb語言程序簡單設(shè)計實例(5款vb語言設(shè)計程序分享) 11.1w次閱讀
- java程序編譯后會產(chǎn)生什么 1.6w次閱讀
- 命令解釋程序工作流程 5216次閱讀
下載排行
本周
- 1PIC12F629/675 數(shù)據(jù)手冊免費下載
- 2.38 MB | 36次下載 | 5 積分
- 2PIC16F716 數(shù)據(jù)手冊免費下載
- 2.35 MB | 18次下載 | 5 積分
- 3STC15系列常用寄存器匯總免費下載
- 1.60 MB | 7次下載 | 5 積分
- 4AN158 GD32VW553 Wi-Fi開發(fā)指南
- 1.51MB | 2次下載 | 免費
- 5模擬電路仿真實現(xiàn)
- 2.94MB | 2次下載 | 免費
- 6AN148 GD32VW553射頻硬件開發(fā)指南
- 2.07MB | 1次下載 | 免費
- 7PZT驅(qū)動開關(guān)電路
- 0.09 MB | 1次下載 | 免費
- 8電子線路板及仿真實現(xiàn)
- 1.54MB | 1次下載 | 免費
本月
- 1ADI高性能電源管理解決方案
- 2.43 MB | 452次下載 | 免費
- 2免費開源CC3D飛控資料(電路圖&PCB源文件、BOM、
- 5.67 MB | 139次下載 | 1 積分
- 3基于STM32單片機智能手環(huán)心率計步器體溫顯示設(shè)計
- 0.10 MB | 133次下載 | 免費
- 4PIC12F629/675 數(shù)據(jù)手冊免費下載
- 2.38 MB | 36次下載 | 5 積分
- 5美的電磁爐維修手冊大全
- 1.56 MB | 24次下載 | 5 積分
- 6如何正確測試電源的紋波
- 0.36 MB | 19次下載 | 免費
- 7PIC16F716 數(shù)據(jù)手冊免費下載
- 2.35 MB | 18次下載 | 5 積分
- 8萬用表UT58A原理圖
- 0.09 MB | 9次下載 | 5 積分
總榜
- 1matlab軟件下載入口
- 未知 | 935121次下載 | 10 積分
- 2開源硬件-PMP21529.1-4 開關(guān)降壓/升壓雙向直流/直流轉(zhuǎn)換器 PCB layout 設(shè)計
- 1.48MB | 420062次下載 | 10 積分
- 3Altium DXP2002下載入口
- 未知 | 233088次下載 | 10 積分
- 4電路仿真軟件multisim 10.0免費下載
- 340992 | 191367次下載 | 10 積分
- 5十天學(xué)會AVR單片機與C語言視頻教程 下載
- 158M | 183335次下載 | 10 積分
- 6labview8.5下載
- 未知 | 81581次下載 | 10 積分
- 7Keil工具MDK-Arm免費下載
- 0.02 MB | 73810次下載 | 10 積分
- 8LabVIEW 8.6下載
- 未知 | 65988次下載 | 10 積分
評論
查看更多